add SNRdB calculation for STV0288 based frontends
[enigma2.git] / lib / dvb / frontend.cpp
index 3ae9473e7142db74849462791b98e75f29843b20..79c9ad0bccbc9556b3c4ab0ad53aca06292a4979 100644 (file)
@@ -125,6 +125,7 @@ void eDVBFrontendParametersSatellite::set(const SatelliteDeliverySystemDescripto
        if ( fec != FEC::fNone && fec > FEC::f9_10 )
                fec = FEC::fAuto;
        inversion = Inversion::Unknown;
+       pilot = Pilot::Unknown;
        orbital_position  = ((descriptor.getOrbitalPosition() >> 12) & 0xF) * 1000;
        orbital_position += ((descriptor.getOrbitalPosition() >> 8) & 0xF) * 100;
        orbital_position += ((descriptor.getOrbitalPosition() >> 4) & 0xF) * 10;
@@ -138,16 +139,16 @@ void eDVBFrontendParametersSatellite::set(const SatelliteDeliverySystemDescripto
                eDebug("satellite_delivery_descriptor non valid modulation type.. force QPSK");
                modulation=QPSK;
        }
-       roll_off = descriptor.getRollOff();
+       rolloff = descriptor.getRollOff();
        if (system == System::DVB_S2)
        {
-               eDebug("SAT DVB-S2 freq %d, %s, pos %d, sr %d, fec %d, modulation %d, roll_off %d",
+               eDebug("SAT DVB-S2 freq %d, %s, pos %d, sr %d, fec %d, modulation %d, rolloff %d",
                        frequency,
                        polarisation ? "hor" : "vert",
                        orbital_position,
                        symbol_rate, fec,
                        modulation,
-                       roll_off);
+                       rolloff);
        }
        else
        {
@@ -371,9 +372,42 @@ RESULT eDVBFrontendParameters::getHash(unsigned long &hash) const
        }
        case iDVBFrontend::feCable:
                hash = 0xFFFF0000;
+               hash |= (cable.frequency/1000)&0xFFFF;
                return 0;
        case iDVBFrontend::feTerrestrial:
                hash = 0xEEEE0000;
+               hash |= (terrestrial.frequency/1000)&0xFFFF;
+               return 0;
+       default:
+               return -1;
+       }
+}
+
+RESULT eDVBFrontendParameters::calcLockTimeout(unsigned int &timeout) const
+{
+       switch (m_type)
+       {
+       case iDVBFrontend::feSatellite:
+       {
+                       /* high symbol rate transponders tune faster, due to 
+                               requiring less zigzag and giving more symbols faster. 
+
+                               5s are definitely not enough on really low SR when
+                               zigzag has to find the exact frequency first.
+                       */
+               if (sat.symbol_rate > 20000000)
+                       timeout = 5000;
+               else if (sat.symbol_rate > 10000000)
+                       timeout = 10000;
+               else
+                       timeout = 20000;
+               return 0;
+       }
+       case iDVBFrontend::feCable:
+               timeout = 5000;
+               return 0;
+       case iDVBFrontend::feTerrestrial:
+               timeout = 5000;
                return 0;
        default:
                return -1;
@@ -382,8 +416,12 @@ RESULT eDVBFrontendParameters::getHash(unsigned long &hash) const
 
 DEFINE_REF(eDVBFrontend);
 
+int eDVBFrontend::PriorityOrder=0;
+
 eDVBFrontend::eDVBFrontend(int adap, int fe, int &ok)
-       :m_type(-1), m_fe(fe), m_fd(-1), m_sn(0), m_timeout(0), m_tuneTimer(0)
+       :m_enabled(false), m_type(-1), m_dvbid(fe), m_slotid(fe)
+       ,m_fd(-1), m_need_rotor_workaround(false), m_can_handle_dvbs2(false)
+       ,m_sn(0), m_timeout(0), m_tuneTimer(0)
 #if HAVE_DVB_API_VERSION < 3
        ,m_secfd(-1)
 #endif
@@ -414,41 +452,26 @@ int eDVBFrontend::openFrontend()
        if (m_sn)
                return -1;  // already opened
 
-       m_state=0;
+       m_state=stateIdle;
        m_tuning=0;
 
 #if HAVE_DVB_API_VERSION < 3
-       if (m_secfd < 0)
-       {
-               m_secfd = ::open(m_sec_filename, O_RDWR);
-               if (m_secfd < 0)
-               {
-                       eWarning("failed! (%s) %m", m_sec_filename);
-                       return -1;
-               }
-       }
-       else
-               eWarning("sec %d already opened", m_fe);
        FrontendInfo fe_info;
 #else
        dvb_frontend_info fe_info;
 #endif
-       eDebug("opening frontend %d", m_fe);
+       eDebug("opening frontend %d", m_dvbid);
        if (m_fd < 0)
        {
                m_fd = ::open(m_filename, O_RDWR|O_NONBLOCK);
                if (m_fd < 0)
                {
                        eWarning("failed! (%s) %m", m_filename);
-#if HAVE_DVB_API_VERSION < 3
-                       ::close(m_secfd);
-                       m_secfd=-1;
-#endif
                        return -1;
                }
        }
        else
-               eWarning("frontend %d already opened", m_fe);
+               eWarning("frontend %d already opened", m_dvbid);
        if (m_type == -1)
        {
                if (::ioctl(m_fd, FE_GET_INFO, &fe_info) < 0)
@@ -456,10 +479,6 @@ int eDVBFrontend::openFrontend()
                        eWarning("ioctl FE_GET_INFO failed");
                        ::close(m_fd);
                        m_fd = -1;
-#if HAVE_DVB_API_VERSION < 3
-                       ::close(m_secfd);
-                       m_secfd=-1;
-#endif
                        return -1;
                }
 
@@ -478,40 +497,59 @@ int eDVBFrontend::openFrontend()
                        eWarning("unknown frontend type.");
                        ::close(m_fd);
                        m_fd = -1;
-#if HAVE_DVB_API_VERSION < 3
-                       ::close(m_secfd);
-                       m_secfd=-1;
-#endif
                        return -1;
                }
                eDebug("detected %s frontend", "satellite\0cable\0    terrestrial"+fe_info.type*10);
        }
 
+#if HAVE_DVB_API_VERSION < 3
+       if (m_type == iDVBFrontend::feSatellite)
+       {
+                       if (m_secfd < 0)
+                       {
+                               m_secfd = ::open(m_sec_filename, O_RDWR);
+                               if (m_secfd < 0)
+                               {
+                                       eWarning("failed! (%s) %m", m_sec_filename);
+                                       ::close(m_fd);
+                                       m_fd=-1;
+                                       return -1;
+                               }
+                       }
+                       else
+                               eWarning("sec %d already opened", m_dvbid);
+       }
+#endif
+
        setTone(iDVBFrontend::toneOff);
        setVoltage(iDVBFrontend::voltageOff);
 
-       m_sn = new eSocketNotifier(eApp, m_fd, eSocketNotifier::Read);
+       m_sn = new eSocketNotifier(eApp, m_fd, eSocketNotifier::Read, false);
        CONNECT(m_sn->activated, eDVBFrontend::feEvent);
 
        return 0;
 }
 
-int eDVBFrontend::closeFrontend()
+int eDVBFrontend::closeFrontend(bool force)
 {
-       eDVBRegisteredFrontend *linked_fe = (eDVBRegisteredFrontend*)m_data[LINKED_NEXT_PTR];
-       while (linked_fe != (eDVBRegisteredFrontend*)-1)
+       if (!force && m_data[CUR_VOLTAGE] != -1 && m_data[CUR_VOLTAGE] != iDVBFrontend::voltageOff)
        {
-               if (linked_fe->m_inuse)
+               long tmp = m_data[LINKED_NEXT_PTR];
+               while (tmp != -1)
                {
-                       eDebug("dont close frontend %d until the linked frontend %d is still in use",
-                               m_fe, linked_fe->m_frontend->getID());
-                       return -1;
+                       eDVBRegisteredFrontend *linked_fe = (eDVBRegisteredFrontend*)tmp;
+                       if (linked_fe->m_inuse)
+                       {
+                               eDebug("dont close frontend %d until the linked frontend %d in slot %d is still in use",
+                                       m_dvbid, linked_fe->m_frontend->getDVBID(), linked_fe->m_frontend->getSlotID());
+                               return -1;
+                       }
+                       linked_fe->m_frontend->getData(LINKED_NEXT_PTR, tmp);
                }
-               linked_fe->m_frontend->getData(LINKED_NEXT_PTR, (int&)linked_fe);
        }
        if (m_fd >= 0)
        {
-               eDebug("close frontend %d", m_fe);
+               eDebug("close frontend %d", m_dvbid);
                m_tuneTimer->stop();
                setTone(iDVBFrontend::toneOff);
                setVoltage(iDVBFrontend::voltageOff);
@@ -520,8 +558,7 @@ int eDVBFrontend::closeFrontend()
                if (!::close(m_fd))
                        m_fd=-1;
                else
-                       eWarning("couldnt close frontend %d", m_fe);
-               m_data[CSW] = m_data[UCSW] = m_data[TONEBURST] = -1;
+                       eWarning("couldnt close frontend %d", m_dvbid);
        }
 #if HAVE_DVB_API_VERSION < 3
        if (m_secfd >= 0)
@@ -529,17 +566,19 @@ int eDVBFrontend::closeFrontend()
                if (!::close(m_secfd))
                        m_secfd=-1;
                else
-                       eWarning("couldnt close sec %d", m_fe);
+                       eWarning("couldnt close sec %d", m_dvbid);
        }
 #endif
        delete m_sn;
        m_sn=0;
+       m_state = stateClosed;
 
        return 0;
 }
 
 eDVBFrontend::~eDVBFrontend()
 {
+       m_data[LINKED_PREV_PTR] = m_data[LINKED_NEXT_PTR] = -1;
        closeFrontend();
        delete m_timeout;
        delete m_tuneTimer;
@@ -573,7 +612,7 @@ void eDVBFrontend::feEvent(int w)
 #if HAVE_DVB_API_VERSION < 3
                if (event.type == FE_COMPLETION_EV)
 #else
-               eDebug("(%d)fe event: status %x, inversion %s", m_fe, event.status, (event.parameters.inversion == INVERSION_ON) ? "on" : "off");
+               eDebug("(%d)fe event: status %x, inversion %s", m_dvbid, event.status, (event.parameters.inversion == INVERSION_ON) ? "on" : "off");
                if (event.status & FE_HAS_LOCK)
 #endif
                {
@@ -584,9 +623,19 @@ void eDVBFrontend::feEvent(int w)
                                state = stateTuning;
                        else
                        {
+                               eDVBFrontend *sec_fe = this;
+                               long tmp = m_data[LINKED_PREV_PTR];
+
                                eDebug("stateLostLock");
                                state = stateLostLock;
-                               m_data[CSW] = m_data[UCSW] = m_data[TONEBURST] = -1; // reset diseqc
+
+                               while (tmp != -1)
+                               {
+                                       eDVBRegisteredFrontend *linked_fe = (eDVBRegisteredFrontend*)tmp;
+                                       sec_fe = linked_fe->m_frontend;
+                                       sec_fe->getData(LINKED_NEXT_PTR, tmp);
+                               }
+                               sec_fe->m_data[CSW] = sec_fe->m_data[UCSW] = sec_fe->m_data[TONEBURST] = -1; // reset diseqc
                        }
                }
                if (m_state != state)
@@ -607,6 +656,8 @@ void eDVBFrontend::timeout()
        }
 }
 
+#define INRANGE(X,Y,Z) (((X<=Y) && (Y<=Z))||((Z<=Y) && (Y<=X)) ? 1 : 0)
+
 int eDVBFrontend::readFrontendData(int type)
 {
        switch(type)
@@ -618,14 +669,109 @@ int eDVBFrontend::readFrontendData(int type)
                                eDebug("FE_READ_BER failed (%m)");
                        return ber;
                }
-               case signalPower:
+               case signalQuality:
                {
                        uint16_t snr=0;
                        if (ioctl(m_fd, FE_READ_SNR, &snr) < 0 && errno != ERANGE)
                                eDebug("FE_READ_SNR failed (%m)");
                        return snr;
                }
-               case signalQuality:
+               case signalQualitydB: /* this will move into the driver */
+               {
+                       uint16_t snr=0;
+                       if (ioctl(m_fd, FE_READ_SNR, &snr) < 0 && errno != ERANGE)
+                               eDebug("FE_READ_SNR failed (%m)");
+                       if (!strcmp(m_description, "BCM4501 (internal)"))
+                       {
+                               unsigned int SDS_SNRE = snr << 16;
+
+                               static float SNR_COEFF[6] = {
+                                       100.0 / 4194304.0,
+                                       -7136.0 / 4194304.0,
+                                       197418.0 / 4194304.0,
+                                       -2602183.0 / 4194304.0,
+                                       20377212.0 / 4194304.0,
+                                       -37791203.0 / 4194304.0,
+                               };
+                       
+                               float fval1, fval2, snr_in_db;
+                               int i;
+                               fval1 = 12.44714 - (2.0 * log10(SDS_SNRE / 256.0));
+                               fval2 = pow(10.0, fval1)-1;
+                               fval1 = 10.0 * log10(fval2);
+                       
+                               if (fval1 < 10.0)
+                               {
+                                       fval2 = SNR_COEFF[0];
+                                       for (i=0; i<6; ++i)
+                                       {
+                                               fval2 *= fval1;
+                                               fval2 += SNR_COEFF[i];
+                                       }
+                                       fval1 = fval2;
+                               }
+                               snr_in_db = fval1;
+                       
+                               return (int)(snr_in_db * 100.0);
+                       }
+                       else if (strstr(m_description, "Alps BSBE1 C01A") ||
+                               !strcmp(m_description, "Alps -S(STV0288)"))
+                       {
+                               if (snr == 0)
+                                       return 0;
+                               else if (snr == 0xFFFF) // i think this should not happen
+                                       return 100*100;
+                               else
+                               {
+                                       enum { REALVAL, REGVAL };
+                                       const long CN_lookup[31][2] = {
+                                               {20,8900}, {25,8680}, {30,8420}, {35,8217}, {40,7897},
+                                               {50,7333}, {60,6747}, {70,6162}, {80,5580}, {90,5029},
+                                               {100,4529}, {110,4080}, {120,3685}, {130,3316}, {140,2982},
+                                               {150,2688}, {160,2418}, {170,2188}, {180,1982}, {190,1802},
+                                               {200,1663}, {210,1520}, {220,1400}, {230,1295}, {240,1201},
+                                               {250,1123}, {260,1058}, {270,1004}, {280,957}, {290,920},
+                                               {300,890}
+                                       };
+                                       long regval = 0xFFFF - ((snr / 3) + 0xA100), // revert some dvb api calulations to get the real register value
+                                               Imin=0,
+                                               Imax=30,
+                                               i;
+                                       if(INRANGE(CN_lookup[Imin][REGVAL],regval,CN_lookup[Imax][REGVAL]))
+                                       {
+                                               long val;
+                                               while((Imax-Imin)>1)
+                                               {
+                                                       i=(Imax+Imin)/2;
+                                                       if(INRANGE(CN_lookup[Imin][REGVAL],regval,CN_lookup[i][REGVAL]))
+                                                               Imax = i;
+                                                       else
+                                                               Imin = i;
+                                               }
+                                               return (((regval - CN_lookup[Imin][REGVAL])
+                                                               * (CN_lookup[Imax][REALVAL] - CN_lookup[Imin][REALVAL])
+                                                               / (CN_lookup[Imax][REGVAL] - CN_lookup[Imin][REGVAL]))
+                                                               + CN_lookup[Imin][REALVAL]) * 10;
+                                       }
+                                       return 100;
+                               }
+                               return 0;
+                       }
+                       else if (!strcmp(m_description, "Alps BSBE1 702A") ||  // some frontends with STV0299
+                               !strcmp(m_description, "Alps -S") ||
+                               !strcmp(m_description, "Philips -S") ||
+                               !strcmp(m_description, "LG -S") )
+                       {
+                               float snr_in_db=(snr-39075)/1764.7;
+                               return (int)(snr_in_db * 100.0);
+                       } else if (!strcmp(m_description, "Alps BSBE2"))
+                       {
+                               return (int)((snr >> 7) * 10.0);
+                       } /* else
+                               eDebug("no SNR dB calculation for frontendtype %s yet", m_description); */
+                       return 0x12345678;
+               }
+               case signalPower:
                {
                        uint16_t strength=0;
                        if (ioctl(m_fd, FE_READ_SIGNAL_STRENGTH, &strength) < 0 && errno != ERANGE)
@@ -655,7 +801,7 @@ int eDVBFrontend::readFrontendData(int type)
                        return !!(status&FE_HAS_SYNC);
                }
                case frontendNumber:
-                       return m_fe;
+                       return m_slotid;
        }
        return 0;
 }
@@ -673,6 +819,18 @@ void PutToDict(ePyObject &dict, const char*key, long value)
                eDebug("could not create PyObject for %s", key);
 }
 
+void PutToDict(ePyObject &dict, const char*key, ePyObject item)
+{
+       if (item)
+       {
+               if (PyDict_SetItemString(dict, key, item))
+                       eDebug("put %s to dict failed", key);
+               Py_DECREF(item);
+       }
+       else
+               eDebug("invalid PyObject for %s", key);
+}
+
 void PutToDict(ePyObject &dict, const char*key, const char *value)
 {
        ePyObject item = PyString_FromString(value);
@@ -688,10 +846,8 @@ void PutToDict(ePyObject &dict, const char*key, const char *value)
 
 void fillDictWithSatelliteData(ePyObject dict, const FRONTENDPARAMETERS &parm, eDVBFrontend *fe)
 {
-       int freq_offset=0;
-       int csw=0;
+       long freq_offset=0;
        const char *tmp=0;
-       fe->getData(eDVBFrontend::CSW, csw);
        fe->getData(eDVBFrontend::FREQ_OFFSET, freq_offset);
        int frequency = parm_frequency + freq_offset;
        PutToDict(dict, "frequency", frequency);
@@ -758,22 +914,61 @@ void fillDictWithSatelliteData(ePyObject dict, const FRONTENDPARAMETERS &parm, e
                break;
 #endif
        }
+       PutToDict(dict, "fec_inner", tmp);
 #if HAVE_DVB_API_VERSION >=3
        PutToDict(dict, "modulation",
                parm_u_qpsk_fec_inner > FEC_S2_QPSK_9_10 ? "8PSK": "QPSK" );
+       if (parm_u_qpsk_fec_inner > FEC_AUTO)
+       {
+               switch(parm_inversion & 0xc)
+               {
+               default: // unknown rolloff
+               case 0: // 0.35
+                       tmp = "ROLLOFF_0_35";
+                       break;
+               case 4: // 0.25
+                       tmp = "ROLLOFF_0_25";
+                       break;
+               case 8: // 0.20
+                       tmp = "ROLLOFF_0_20";
+                       break;
+               }
+               PutToDict(dict, "rolloff", tmp);
+               if (parm_u_qpsk_fec_inner > FEC_S2_QPSK_9_10)
+               {
+                       switch(parm_inversion & 0x30)
+                       {
+                       case 0: // pilot off
+                               tmp = "PILOT_OFF";
+                               break;
+                       case 0x10: // pilot on
+                               tmp = "PILOT_ON";
+                               break;
+                       case 0x20: // pilot auto
+                               tmp = "PILOT_AUTO";
+                               break;
+                       }
+                       PutToDict(dict, "pilot", tmp);
+               }
+               tmp = "DVB-S2";
+       }
+       else
+               tmp = "DVB-S";
 #else
        PutToDict(dict, "modulation", "QPSK" );
+       tmp = "DVB-S";
 #endif
-       PutToDict(dict, "fec_inner", tmp);
-       tmp = parm_u_qpsk_fec_inner > FEC_AUTO ?
-               "DVB-S2" : "DVB-S";
        PutToDict(dict, "system", tmp);
 }
 
 void fillDictWithCableData(ePyObject dict, const FRONTENDPARAMETERS &parm)
 {
        const char *tmp=0;
+#if HAVE_DVB_API_VERSION < 3
+       PutToDict(dict, "frequency", parm_frequency);
+#else
        PutToDict(dict, "frequency", parm_frequency/1000);
+#endif
        PutToDict(dict, "symbol_rate", parm_u_qam_symbol_rate);
        switch(parm_u_qam_fec_inner)
        {
@@ -1000,8 +1195,17 @@ void eDVBFrontend::getFrontendStatus(ePyObject dest)
                PutToDict(dest, "tuner_locked", readFrontendData(locked));
                PutToDict(dest, "tuner_synced", readFrontendData(synced));
                PutToDict(dest, "tuner_bit_error_rate", readFrontendData(bitErrorRate));
-               PutToDict(dest, "tuner_signal_power", readFrontendData(signalPower));
                PutToDict(dest, "tuner_signal_quality", readFrontendData(signalQuality));
+               int sigQualitydB = readFrontendData(signalQualitydB);
+               if (sigQualitydB == 0x12345678) // not support yet
+               {
+                       ePyObject obj=Py_None;
+                       Py_INCREF(obj);
+                       PutToDict(dest, "tuner_signal_quality_db", obj);
+               }
+               else
+                       PutToDict(dest, "tuner_signal_quality_db", sigQualitydB);
+               PutToDict(dest, "tuner_signal_power", readFrontendData(signalPower));
        }
 }
 
@@ -1061,7 +1265,7 @@ void eDVBFrontend::getFrontendData(ePyObject dest)
        if (dest && PyDict_Check(dest))
        {
                const char *tmp=0;
-               PutToDict(dest, "tuner_number", m_fe);
+               PutToDict(dest, "tuner_number", m_slotid);
                switch(m_type)
                {
                        case feSatellite:
@@ -1086,22 +1290,35 @@ void eDVBFrontend::getFrontendData(ePyObject dest)
 #endif
 int eDVBFrontend::readInputpower()
 {
-       int power=m_fe;  // this is needed for read inputpower from the correct tuner !
-
-       // open front prozessor
-       int fp=::open("/dev/dbox/fp0", O_RDWR);
-       if (fp < 0)
-       {
-               eDebug("couldn't open fp");
-               return -1;
+       int power=m_slotid;  // this is needed for read inputpower from the correct tuner !
+       char proc_name[64];
+       sprintf(proc_name, "/proc/stb/fp/lnb_sense%d", m_slotid);
+       FILE *f=fopen(proc_name, "r");
+       if (f)
+       {
+               if (fscanf(f, "%d", &power) != 1)
+                       eDebug("read %s failed!! (%m)", proc_name);
+               else
+                       eDebug("%s is %d\n", proc_name, power);
+               fclose(f);
        }
-       static bool old_fp = (::ioctl(fp, FP_IOCTL_GET_ID) < 0);
-       if ( ioctl( fp, old_fp ? 9 : 0x100, &power ) < 0 )
+       else
        {
-               eDebug("FP_IOCTL_GET_LNB_CURRENT failed (%m)");
-               return -1;
+               // open front prozessor
+               int fp=::open("/dev/dbox/fp0", O_RDWR);
+               if (fp < 0)
+               {
+                       eDebug("couldn't open fp");
+                       return -1;
+               }
+               static bool old_fp = (::ioctl(fp, FP_IOCTL_GET_ID) < 0);
+               if ( ioctl( fp, old_fp ? 9 : 0x100, &power ) < 0 )
+               {
+                       eDebug("FP_IOCTL_GET_LNB_CURRENT failed (%m)");
+                       return -1;
+               }
+               ::close(fp);
        }
-       ::close(fp);
 
        return power;
 }
@@ -1129,8 +1346,32 @@ bool eDVBFrontend::setSecSequencePos(int steps)
 void eDVBFrontend::tuneLoop()  // called by m_tuneTimer
 {
        int delay=0;
+       eDVBFrontend *sec_fe = this;
+       eDVBRegisteredFrontend *regFE = 0;
+       long tmp = m_data[LINKED_PREV_PTR];
+       while ( tmp != -1 )
+       {
+               eDVBRegisteredFrontend *prev = (eDVBRegisteredFrontend *)tmp;
+               sec_fe = prev->m_frontend;
+               tmp = prev->m_frontend->m_data[LINKED_PREV_PTR];
+               if (tmp == -1 && sec_fe != this && !prev->m_inuse) {
+                       int state = sec_fe->m_state;
+                       if (state != eDVBFrontend::stateIdle && state != stateClosed)
+                       {
+                               sec_fe->closeFrontend(true);
+                               state = sec_fe->m_state;
+                       }
+                       if (state == eDVBFrontend::stateClosed)
+                       {
+                               regFE = prev;
+                               prev->inc_use();
+                       }
+               }
+       }
+
        if ( m_sec_sequence && m_sec_sequence.current() != m_sec_sequence.end() )
        {
+               long *sec_fe_data = sec_fe->m_data;
 //             eDebug("tuneLoop %d\n", m_sec_sequence.current()->cmd);
                switch (m_sec_sequence.current()->cmd)
                {
@@ -1146,13 +1387,13 @@ void eDVBFrontend::tuneLoop()  // called by m_tuneTimer
                        {
                                int voltage = m_sec_sequence.current()++->voltage;
                                eDebug("[SEC] setVoltage %d", voltage);
-                               setVoltage(voltage);
+                               sec_fe->setVoltage(voltage);
                                break;
                        }
                        case eSecCommand::IF_VOLTAGE_GOTO:
                        {
                                eSecCommand::pair &compare = m_sec_sequence.current()->compare;
-                               if ( compare.voltage == m_data[CUR_VOLTAGE] && setSecSequencePos(compare.steps) )
+                               if ( compare.voltage == sec_fe_data[CUR_VOLTAGE] && setSecSequencePos(compare.steps) )
                                        break;
                                ++m_sec_sequence.current();
                                break;
@@ -1160,7 +1401,7 @@ void eDVBFrontend::tuneLoop()  // called by m_tuneTimer
                        case eSecCommand::IF_NOT_VOLTAGE_GOTO:
                        {
                                eSecCommand::pair &compare = m_sec_sequence.current()->compare;
-                               if ( compare.voltage != m_data[CUR_VOLTAGE] && setSecSequencePos(compare.steps) )
+                               if ( compare.voltage != sec_fe_data[CUR_VOLTAGE] && setSecSequencePos(compare.steps) )
                                        break;
                                ++m_sec_sequence.current();
                                break;
@@ -1168,7 +1409,7 @@ void eDVBFrontend::tuneLoop()  // called by m_tuneTimer
                        case eSecCommand::IF_TONE_GOTO:
                        {
                                eSecCommand::pair &compare = m_sec_sequence.current()->compare;
-                               if ( compare.tone == m_data[CUR_TONE] && setSecSequencePos(compare.steps) )
+                               if ( compare.tone == sec_fe_data[CUR_TONE] && setSecSequencePos(compare.steps) )
                                        break;
                                ++m_sec_sequence.current();
                                break;
@@ -1176,17 +1417,17 @@ void eDVBFrontend::tuneLoop()  // called by m_tuneTimer
                        case eSecCommand::IF_NOT_TONE_GOTO:
                        {
                                eSecCommand::pair &compare = m_sec_sequence.current()->compare;
-                               if ( compare.tone != m_data[CUR_TONE] && setSecSequencePos(compare.steps) )
+                               if ( compare.tone != sec_fe_data[CUR_TONE] && setSecSequencePos(compare.steps) )
                                        break;
                                ++m_sec_sequence.current();
                                break;
                        }
                        case eSecCommand::SET_TONE:
                                eDebug("[SEC] setTone %d", m_sec_sequence.current()->tone);
-                               setTone(m_sec_sequence.current()++->tone);
+                               sec_fe->setTone(m_sec_sequence.current()++->tone);
                                break;
                        case eSecCommand::SEND_DISEQC:
-                               sendDiseqc(m_sec_sequence.current()->diseqc);
+                               sec_fe->sendDiseqc(m_sec_sequence.current()->diseqc);
                                eDebugNoNewLine("[SEC] sendDiseqc: ");
                                for (int i=0; i < m_sec_sequence.current()->diseqc.len; ++i)
                                    eDebugNoNewLine("%02x", m_sec_sequence.current()->diseqc.data[i]);
@@ -1195,7 +1436,7 @@ void eDVBFrontend::tuneLoop()  // called by m_tuneTimer
                                break;
                        case eSecCommand::SEND_TONEBURST:
                                eDebug("[SEC] sendToneburst: %d", m_sec_sequence.current()->toneburst);
-                               sendToneburst(m_sec_sequence.current()++->toneburst);
+                               sec_fe->sendToneburst(m_sec_sequence.current()++->toneburst);
                                break;
                        case eSecCommand::SET_FRONTEND:
                                eDebug("[SEC] setFrontend");
@@ -1203,9 +1444,11 @@ void eDVBFrontend::tuneLoop()  // called by m_tuneTimer
                                ++m_sec_sequence.current();
                                break;
                        case eSecCommand::START_TUNE_TIMEOUT:
-                               m_timeout->start(5000, 1); // 5 sec timeout. TODO: symbolrate dependent
+                       {
+                               m_timeout->start(m_sec_sequence.current()->timeout, 1);
                                ++m_sec_sequence.current();
                                break;
+                       }
                        case eSecCommand::SET_TIMEOUT:
                                m_timeoutCount = m_sec_sequence.current()++->val;
                                eDebug("[SEC] set timeout %d", m_timeoutCount);
@@ -1225,7 +1468,7 @@ void eDVBFrontend::tuneLoop()  // called by m_tuneTimer
                                int idx = m_sec_sequence.current()++->val;
                                if ( idx == 0 || idx == 1 )
                                {
-                                       m_idleInputpower[idx] = readInputpower();
+                                       m_idleInputpower[idx] = sec_fe->readInputpower();
                                        eDebug("[SEC] idleInputpower[%d] is %d", idx, m_idleInputpower[idx]);
                                }
                                else
@@ -1238,7 +1481,7 @@ void eDVBFrontend::tuneLoop()  // called by m_tuneTimer
                                int idx = compare.val;
                                if ( idx == 0 || idx == 1 )
                                {
-                                       int idle = readInputpower();
+                                       int idle = sec_fe->readInputpower();
                                        int diff = abs(idle-m_idleInputpower[idx]);
                                        if ( diff > 0)
                                        {
@@ -1276,13 +1519,13 @@ void eDVBFrontend::tuneLoop()  // called by m_tuneTimer
                                break;
                        }
                        case eSecCommand::MEASURE_RUNNING_INPUTPOWER:
-                               m_runningInputpower = readInputpower();
+                               m_runningInputpower = sec_fe->readInputpower();
                                eDebug("[SEC] runningInputpower is %d", m_runningInputpower);
                                ++m_sec_sequence.current();
                                break;
                        case eSecCommand::IF_INPUTPOWER_DELTA_GOTO:
                        {
-                               int idleInputpower = m_idleInputpower[ (m_data[CUR_VOLTAGE]&1) ? 0 : 1];
+                               int idleInputpower = m_idleInputpower[ (sec_fe_data[CUR_VOLTAGE]&1) ? 0 : 1];
                                eSecCommand::rotor &cmd = m_sec_sequence.current()->measure;
                                const char *txt = cmd.direction ? "running" : "stopped";
                                eDebug("[SEC] waiting for rotor %s %d, idle %d, delta %d",
@@ -1315,20 +1558,35 @@ void eDVBFrontend::tuneLoop()  // called by m_tuneTimer
                                break;
                        }
                        case eSecCommand::IF_ROTORPOS_VALID_GOTO:
-                               if (m_data[ROTOR_CMD] != -1 && m_data[ROTOR_POS] != -1)
+                               if (sec_fe_data[ROTOR_CMD] != -1 && sec_fe_data[ROTOR_POS] != -1)
                                        setSecSequencePos(m_sec_sequence.current()->steps);
                                else
                                        ++m_sec_sequence.current();
                                break;
+                       case eSecCommand::INVALIDATE_CURRENT_SWITCHPARMS:
+                               eDebug("[SEC] invalidate current switch params");
+                               sec_fe_data[CSW] = -1;
+                               sec_fe_data[UCSW] = -1;
+                               sec_fe_data[TONEBURST] = -1;
+                               ++m_sec_sequence.current();
+                               break;
+                       case eSecCommand::UPDATE_CURRENT_SWITCHPARMS:
+                               sec_fe_data[CSW] = sec_fe_data[NEW_CSW];
+                               sec_fe_data[UCSW] = sec_fe_data[NEW_UCSW];
+                               sec_fe_data[TONEBURST] = sec_fe_data[NEW_TONEBURST];
+                               eDebug("[SEC] update current switch params");
+                               ++m_sec_sequence.current();
+                               break;
                        case eSecCommand::INVALIDATE_CURRENT_ROTORPARMS:
-                               m_data[ROTOR_CMD] = m_data[ROTOR_POS] = -1;
                                eDebug("[SEC] invalidate current rotorparams");
+                               sec_fe_data[ROTOR_CMD] = -1;
+                               sec_fe_data[ROTOR_POS] = -1;
                                ++m_sec_sequence.current();
                                break;
                        case eSecCommand::UPDATE_CURRENT_ROTORPARAMS:
-                               m_data[ROTOR_CMD] = m_data[NEW_ROTOR_CMD];
-                               m_data[ROTOR_POS] = m_data[NEW_ROTOR_POS];
-                               eDebug("[SEC] update current rotorparams %d %04x %d", m_timeoutCount, m_data[5], m_data[6]);
+                               sec_fe_data[ROTOR_CMD] = sec_fe_data[NEW_ROTOR_CMD];
+                               sec_fe_data[ROTOR_POS] = sec_fe_data[NEW_ROTOR_POS];
+                               eDebug("[SEC] update current rotorparams %d %04lx %ld", m_timeoutCount, sec_fe_data[ROTOR_CMD], sec_fe_data[ROTOR_POS]);
                                ++m_sec_sequence.current();
                                break;
                        case eSecCommand::SET_ROTOR_DISEQC_RETRYS:
@@ -1346,27 +1604,49 @@ void eDVBFrontend::tuneLoop()  // called by m_tuneTimer
                                break;
                        case eSecCommand::SET_POWER_LIMITING_MODE:
                        {
-                               int fd = m_fe ?
-                                       ::open("/dev/i2c/1", O_RDWR) :
-                                       ::open("/dev/i2c/0", O_RDWR);
-
-                               unsigned char data[2];
-                               ::ioctl(fd, I2C_SLAVE_FORCE, 0x10 >> 1);
-                               if(::read(fd, data, 1) != 1)
-                                       eDebug("[SEC] error read lnbp (%m)");
-                               if ( m_sec_sequence.current()->mode == eSecCommand::modeStatic )
+                               char proc_name[64];
+                               sprintf(proc_name, "/proc/stb/frontend/%d/static_current_limiting", sec_fe->m_dvbid);
+                               FILE *f=fopen(proc_name, "w");
+                               if (f) // new interface exist?
                                {
-                                       data[0] |= 0x80;  // enable static current limiting
-                                       eDebug("[SEC] set static current limiting");
+                                       bool slimiting = m_sec_sequence.current()->mode == eSecCommand::modeStatic;
+                                       if (fprintf(f, "%s", slimiting ? "on" : "off") <= 0)
+                                               eDebug("write %s failed!! (%m)", proc_name);
+                                       else
+                                               eDebug("[SEC] set %s current limiting", slimiting ? "static" : "dynamic");
+                                       fclose(f);
                                }
-                               else
+                               else if (sec_fe->m_need_rotor_workaround)
                                {
-                                       data[0] &= ~0x80;  // enable dynamic current limiting
-                                       eDebug("[SEC] set dynamic current limiting");
+                                       char dev[16];
+                                       int slotid = sec_fe->m_slotid;
+                                       // FIXMEEEEEE hardcoded i2c devices for dm7025 and dm8000
+                                       if (slotid < 2)
+                                               sprintf(dev, "/dev/i2c/%d", slotid);
+                                       else if (slotid == 2)
+                                               sprintf(dev, "/dev/i2c/2"); // first nim socket on DM8000 use /dev/i2c/2
+                                       else if (slotid == 3)
+                                               sprintf(dev, "/dev/i2c/4"); // second nim socket on DM8000 use /dev/i2c/4
+                                       int fd = ::open(dev, O_RDWR);
+
+                                       unsigned char data[2];
+                                       ::ioctl(fd, I2C_SLAVE_FORCE, 0x10 >> 1);
+                                       if(::read(fd, data, 1) != 1)
+                                               eDebug("[SEC] error read lnbp (%m)");
+                                       if ( m_sec_sequence.current()->mode == eSecCommand::modeStatic )
+                                       {
+                                               data[0] |= 0x80;  // enable static current limiting
+                                               eDebug("[SEC] set static current limiting");
+                                       }
+                                       else
+                                       {
+                                               data[0] &= ~0x80;  // enable dynamic current limiting
+                                               eDebug("[SEC] set dynamic current limiting");
+                                       }
+                                       if(::write(fd, data, 1) != 1)
+                                               eDebug("[SEC] error write lnbp (%m)");
+                                       ::close(fd);
                                }
-                               if(::write(fd, data, 1) != 1)
-                                       eDebug("[SEC] error write lnbp (%m)");
-                               ::close(fd);
                                ++m_sec_sequence.current();
                                break;
                        }
@@ -1377,11 +1657,13 @@ void eDVBFrontend::tuneLoop()  // called by m_tuneTimer
                }
                m_tuneTimer->start(delay,true);
        }
+       if (regFE)
+               regFE->dec_use();
 }
 
 void eDVBFrontend::setFrontend()
 {
-       eDebug("setting frontend %d", m_fe);
+       eDebug("setting frontend %d", m_dvbid);
        m_sn->start();
        feEvent(-1);
        if (ioctl(m_fd, FE_SET_FRONTEND, &parm) == -1)
@@ -1399,7 +1681,7 @@ RESULT eDVBFrontend::getFrontendType(int &t)
        return 0;
 }
 
-RESULT eDVBFrontend::prepare_sat(const eDVBFrontendParametersSatellite &feparm)
+RESULT eDVBFrontend::prepare_sat(const eDVBFrontendParametersSatellite &feparm, unsigned int tunetimeout)
 {
        int res;
        if (!m_sec)
@@ -1407,7 +1689,7 @@ RESULT eDVBFrontend::prepare_sat(const eDVBFrontendParametersSatellite &feparm)
                eWarning("no SEC module active!");
                return -ENOENT;
        }
-       res = m_sec->prepare(*this, parm, feparm, 1 << m_fe);
+       res = m_sec->prepare(*this, parm, feparm, 1 << m_slotid, tunetimeout);
        if (!res)
        {
                eDebug("prepare_sat System %d Freq %d Pol %d SR %d INV %d FEC %d orbpos %d",
@@ -1495,9 +1777,12 @@ RESULT eDVBFrontend::prepare_sat(const eDVBFrontendParametersSatellite &feparm)
                                        eDebug("no valid fec for DVB-S2 set.. abort !!");
                                        return -EINVAL;
                        }
-                       if (feparm.modulation == eDVBFrontendParametersSatellite::Modulation::M8PSK)
+                       parm_inversion |= (feparm.rolloff << 2); // Hack.. we use bit 2..3 of inversion param for rolloff
+                       if (feparm.modulation == eDVBFrontendParametersSatellite::Modulation::M8PSK) {
                                parm_u_qpsk_fec_inner = (fe_code_rate_t)((int)parm_u_qpsk_fec_inner+9);
                                // 8PSK fec driver values are decimal 9 bigger
+                               parm_inversion |= (feparm.pilot << 4); // Hack.. we use bit 4..5 of inversion param for pilot
+                       }
                }
 #endif
                // FIXME !!! get frequency range from tuner
@@ -1513,7 +1798,11 @@ RESULT eDVBFrontend::prepare_sat(const eDVBFrontendParametersSatellite &feparm)
 
 RESULT eDVBFrontend::prepare_cable(const eDVBFrontendParametersCable &feparm)
 {
+#if HAVE_DVB_API_VERSION < 3
+       parm_frequency = feparm.frequency;
+#else
        parm_frequency = feparm.frequency * 1000;
+#endif
        parm_u_qam_symbol_rate = feparm.symbol_rate;
        switch (feparm.modulation)
        {
@@ -1738,7 +2027,8 @@ RESULT eDVBFrontend::prepare_terrestrial(const eDVBFrontendParametersTerrestrial
 
 RESULT eDVBFrontend::tune(const iDVBFrontendParameters &where)
 {
-       eDebug("(%d)tune", m_fe);
+       unsigned int timeout = 5000;
+       eDebug("(%d)tune", m_dvbid);
 
        m_timeout->stop();
 
@@ -1760,6 +2050,8 @@ RESULT eDVBFrontend::tune(const iDVBFrontendParameters &where)
        m_sn->stop();
        m_sec_sequence.clear();
 
+       where.calcLockTimeout(timeout);
+
        switch (m_type)
        {
        case feSatellite:
@@ -1772,7 +2064,7 @@ RESULT eDVBFrontend::tune(const iDVBFrontendParameters &where)
                        goto tune_error;
                }
                m_sec->setRotorMoving(false);
-               res=prepare_sat(feparm);
+               res=prepare_sat(feparm, timeout);
                if (res)
                        goto tune_error;
 
@@ -1790,7 +2082,7 @@ RESULT eDVBFrontend::tune(const iDVBFrontendParameters &where)
                if (res)
                        goto tune_error;
 
-               m_sec_sequence.push_back( eSecCommand(eSecCommand::START_TUNE_TIMEOUT) );
+               m_sec_sequence.push_back( eSecCommand(eSecCommand::START_TUNE_TIMEOUT, timeout) );
                m_sec_sequence.push_back( eSecCommand(eSecCommand::SET_FRONTEND) );
                break;
        }
@@ -1809,8 +2101,8 @@ RESULT eDVBFrontend::tune(const iDVBFrontendParameters &where)
 
                std::string enable_5V;
                char configStr[255];
-               snprintf(configStr, 255, "config.Nims.%d.terrestrial_5V", m_fe);
-               m_sec_sequence.push_back( eSecCommand(eSecCommand::START_TUNE_TIMEOUT) );
+               snprintf(configStr, 255, "config.Nims.%d.terrestrial_5V", m_slotid);
+               m_sec_sequence.push_back( eSecCommand(eSecCommand::START_TUNE_TIMEOUT, timeout) );
                ePythonConfigQuery::getConfigValue(configStr, enable_5V);
                if (enable_5V == "True")
                        m_sec_sequence.push_back( eSecCommand(eSecCommand::SET_VOLTAGE, iDVBFrontend::voltage13) );
@@ -1859,8 +2151,7 @@ RESULT eDVBFrontend::setVoltage(int voltage)
        switch (voltage)
        {
        case voltageOff:
-               for (int i=0; i < 3; ++i)  // reset diseqc
-                       m_data[i]=-1;
+               m_data[CSW]=m_data[UCSW]=m_data[TONEBURST]=-1; // reset diseqc
                vlt = SEC_VOLTAGE_OFF;
                break;
        case voltage13_5:
@@ -1990,7 +2281,7 @@ RESULT eDVBFrontend::setSecSequence(const eSecCommandList &list)
        return 0;
 }
 
-RESULT eDVBFrontend::getData(int num, int &data)
+RESULT eDVBFrontend::getData(int num, long &data)
 {
        if ( num < NUM_DATA_ENTRIES )
        {
@@ -2000,7 +2291,7 @@ RESULT eDVBFrontend::getData(int num, int &data)
        return -EINVAL;
 }
 
-RESULT eDVBFrontend::setData(int num, int val)
+RESULT eDVBFrontend::setData(int num, long val)
 {
        if ( num < NUM_DATA_ENTRIES )
        {
@@ -2013,18 +2304,52 @@ RESULT eDVBFrontend::setData(int num, int val)
 int eDVBFrontend::isCompatibleWith(ePtr<iDVBFrontendParameters> &feparm)
 {
        int type;
-       if (feparm->getSystem(type) || type != m_type)
+       if (feparm->getSystem(type) || type != m_type || !m_enabled)
                return 0;
-
        if (m_type == eDVBFrontend::feSatellite)
        {
                ASSERT(m_sec);
                eDVBFrontendParametersSatellite sat_parm;
                int ret = feparm->getDVBS(sat_parm);
                ASSERT(!ret);
-               return m_sec->canTune(sat_parm, this, 1 << m_fe);
+               if (sat_parm.system == eDVBFrontendParametersSatellite::System::DVB_S2 && !m_can_handle_dvbs2)
+                       return 0;
+               ret = m_sec->canTune(sat_parm, this, 1 << m_slotid);
+               if (ret > 1 && sat_parm.system == eDVBFrontendParametersSatellite::System::DVB_S && m_can_handle_dvbs2)
+                       ret -= 1;
+               return ret;
        }
        else if (m_type == eDVBFrontend::feCable)
                return 2;  // more prio for cable frontends
-       return 1;
+       else if (m_type == eDVBFrontend::feTerrestrial)
+               return 1;
+       return 0;
+}
+
+bool eDVBFrontend::setSlotInfo(ePyObject obj)
+{
+       ePyObject Id, Descr, Enabled;
+       if (!PyTuple_Check(obj) || PyTuple_Size(obj) != 3)
+               goto arg_error;
+       Id = PyTuple_GET_ITEM(obj, 0);
+       Descr = PyTuple_GET_ITEM(obj, 1);
+       Enabled = PyTuple_GET_ITEM(obj, 2);
+       if (!PyInt_Check(Id) || !PyString_Check(Descr) || !PyBool_Check(Enabled))
+               goto arg_error;
+       strcpy(m_description, PyString_AS_STRING(Descr));
+       m_slotid = PyInt_AsLong(Id);
+       m_enabled = Enabled == Py_True;
+       // HACK.. the rotor workaround is neede for all NIMs with LNBP21 voltage regulator...
+       m_need_rotor_workaround = !!strstr(m_description, "Alps BSBE1") ||
+               !!strstr(m_description, "Alps BSBE2") ||
+               !!strstr(m_description, "Alps -S") ||
+               !!strstr(m_description, "BCM4501");
+       m_can_handle_dvbs2 = !!strstr(m_description, "Alps BSBE2") || !!strstr(m_description, "BCM4501");
+       eDebug("setSlotInfo for dvb frontend %d to slotid %d, descr %s, need rotorworkaround %s, enabled %s, DVB-S2 %s",
+               m_dvbid, m_slotid, m_description, m_need_rotor_workaround ? "Yes" : "No", m_enabled ? "Yes" : "No", m_can_handle_dvbs2 ? "Yes" : "No" );
+       return true;
+arg_error:
+       PyErr_SetString(PyExc_StandardError,
+               "eDVBFrontend::setSlotInfo must get a tuple with first param slotid, second param slot description and third param enabled boolean");
+       return false;
 }