WirelessLan/plugin.py: - properly escape spaces inside an SSID name when returning...
[enigma2.git] / lib / gdi / compositing.cpp
1 #include <lib/gdi/compositing.h>
2 #include <lib/gdi/grc.h>
3
4 DEFINE_REF(gCompositingData);
5
6 gContext::~gContext()
7 {
8 }
9